CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog of encoder

搜索资源列表

  1. JPEG2000

    0下载:
  2. jpeg 2000 encoder complete document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-16
    • 文件大小:379311
    • 提供者:ibbu
  1. Encoder_SSI_Veryilog

    2下载:
  2. 本文详细描述了SSI协议的通讯格式、原理及应用电路,并采用verilog语言实现了SSI通讯协议.设计实用电路并实现了与绝对值编码器的通讯-SSI protocol described in detail the communication format, principle and application circuit, and use verilog language of the SSI protocol. Practical circuit design and implementat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:206065
    • 提供者:张清平
  1. reedsolomon

    0下载:
  2. reed solomon encoder synthesis and simulation is done using verilog and working fine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1126446
    • 提供者:priya
  1. 11FIRfliter

    0下载:
  2. 11阶FIR滤波器和(7,4)编码器的Verilog语言,高手的作品,放心下-11-order FIR filter, and (7,4) encoder of the Verilog language, master' s works, rest assured that the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1718
    • 提供者:王刚
  1. rsencoder_latest.tar

    0下载:
  2. reed solomon encoder (255,239) verilog source code
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:3963
    • 提供者:梅国强
  1. viterbi

    1下载:
  2. verilog code for viterbi encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:13479
    • 提供者:kamran
  1. my_code

    0下载:
  2. 编码器和译码器,Verilog实现,有具体实验说明文档。-Encoder and decoder, Verilog realization of a specific experiment documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1627691
    • 提供者:mypudn0001
  1. jjm

    0下载:
  2. 用Verilog实现的crc16编码器,可以实现任意长度帧的发送信息的crc无失真编码-Implemented with Verilog crc16 encoder can send frames of any length lossless coding of information crc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:199831
    • 提供者:陆翔
  1. PRIORITY_ENCODER

    0下载:
  2. A priority encoder is a circuit or algorithm that compresses multiple binary inputs into a smaller number of outputs. The output of a priority encoder is the binary representation of the ordinal number starting from zero of the most significant input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:109690
    • 提供者:swapnil
  1. encoder_using_if.v

    0下载:
  2. this is a verilog code of encoder using if statement.
  3. 所属分类:VHDL-FPGA-Verilog

  1. Huffman-Encoder

    1下载:
  2. 本压缩包,包换一个用verilog语言实现的huffman编码源程序,同时给出了众多论文和基础知识的文档资料,一应俱全。-The compression package, shifting one using huffman coding verilog language source code, and gives basic knowledge of many papers and documentation, everything.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11847457
    • 提供者:普尔
  1. verilog-source-codes

    0下载:
  2. the attached programs are source codes of 4-bit ring counter, 16x1 mux, 8x3 priority encoder, 4x16 decoder, full subtractor using two half subtractors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2236
    • 提供者:apparao
  1. MATLAB-and-Verilog-codes

    0下载:
  2. there are 5 files. the first two codes are written in Matlab as m-files in control system design to show step responses. in contrast, the final three codes are written in verilog ( Quartus II) used in Altera one of them for BCD adder and the other fo
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2147
    • 提供者:YAZEN H
  1. verilog-juanjima

    1下载:
  2. 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:10240
    • 提供者:邓博于、
  1. CCIR656-encoder

    0下载:
  2. a source code of CCIR656 encoder in verilog HDL with corresponding testbench and a snapchat of the resulting waveform-a source code of CCIR656 encoder in verilog HDL with corresponding testbench and a snapchat of the resulting waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:58657
    • 提供者:kevin
  1. encoder_clk

    0下载:
  2. 精确实现奇数分频,将FPGA开发板提供的25MHZ时钟分频为1MHZ,内含测试文件(Accurate realization of odd frequency division, the FPGA development board provides 25MHZ clock frequency divided into 1MHZ, containing test files)
  3. 所属分类:VHDL/FPGA/Verilog

  1. RS

    0下载:
  2. 本文设计了基于FPGA的,用verilog HDL语言描述的在伽罗华域GF( )上的RS(6,4)编码器。在ISE软件上用verilog HDL语言分别对每个模块进行描述,然后在软件上进行编译、仿真,最终实现RS(6,4)编码,下载之后用chipscope采集数据,分析符合仿真结果,达到设计的要求。(This paper is designed based on FPGA, described by Verilog HDL language in Galois field GF () on RS
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3862528
    • 提供者:heyu7892020
  1. ef48dc75a9a60030c622898a19b0f2d6 (1)

    0下载:
  2. 内有关于循环码的编码器的程序语言,可用quartus ii打开(There is a program language on the encoder of the loop code, which can be opened with Quartus II)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:15360
    • 提供者:羽霜梦琳
  1. ENC_ab_dir

    0下载:
  2. 产生相差90°的AB相脉冲,并且模拟AB相位的超前或滞后,用于ABZ编码器信号的分析(The AB phase pulse with a difference of 90 degrees is produced and the AB phase is simulated forward or lagging, for the analysis of the signal of the ABZ encoder)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:5252096
    • 提供者:Mr晓旭
  1. Program of 4 to 2 Encoder

    0下载:
  2. Verilog code for encoder
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:9216
    • 提供者:maz1
« 12 3 »
搜珍网 www.dssz.com